NOTΒΆ

The IEC Operators and additional, norm-extending \ functions Bitwise NOT of a bit operand. The operand should be of the type BOOL, BYTE, WORD or DWORD.

Example in IL:

Var1 :BYTE;
LD 2#1001_0011
NOT
ST  Var1 (* Result is 2#0110_1100 *)

Example in ST:

Var1 := NOT 2#1001_0011

Example in FBD:

../_images/62d9b21f64e93da90a317d315f008163